Andreas Herkersdorf Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2010
45Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: An Application-Aware Load Balancing Strategy for Network Processors. HiPEAC 2010: 156-170
2009
44Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Andreas Herkersdorf: An efficient approach for system-level timing simulation of compiler-optimized embedded software. DAC 2009: 220-225
43Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Andreas Herkersdorf, Wolfgang Haberl, Martin Wechs: SysCOLA: a framework for co-development of automotive software and system platform. DAC 2009: 37-42
42Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShadi Traboulsi, Michael Meitinger, Rainer Ohlendorf, Andreas Herkersdorf: An Efficient Hardware Architecture for Packet Re-sequencing in Network Processors MPSoCs. DSD 2009: 11-18
41Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Lankes, Thomas Wild, Andreas Herkersdorf: Hierarchical NoCs for Optimized Access to Shared Memory and IO Resources. DSD 2009: 255-262
40Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Andreas Herkersdorf: Flow Analysis on Intermediate Source Code for WCET Estimation of Compiler-Optimized Programs. RTCSA 2009: 22-27
2008
39Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Hardware Packet Re-Sequencer Unit for Network Processors. ARCS 2008: 85-97
38Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDaniel Llorente, Kimon Karras, Thomas Wild, Andreas Herkersdorf: Buffer allocation for advanced packet segmentation in Network Processors. ASAP 2008: 221-226
37Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJürgen Becker, Michael Hübner, Robert Esser, Andreas Herkersdorf, Walter Stechele, Vera Lauer: Design Flows, Communication Based Design and Architectures in Automotive Electronic Systems. DATE 2008
36Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Andreas Herkersdorf, Stefano Merenda, Michael Tautschnig: A Model Driven Development Approach for Implementing Reactive Systems in Hardware. FDL 2008: 197-202
35Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJosef Angermeier, Mateusz Majer, Jürgen Teich, Lars Braun, T. Schwalb, Philipp Graf, Michael Hübner, Jürgen Becker, Enno Lübbers, Marco Platzner, Christopher Claus, Walter Stechele, Andreas Herkersdorf, Markus Rullmann, Renate Merker: Fine grain reconfigurable architectures. FPL 2008: 348
34Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThilo Pionteck, Roman Koch, Carsten Albrecht, Erik Maehle, Michael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: Network processors. FPL 2008: 352
33no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, Walter Stechele, Christian Müller-Schloer, Hartmut Schmeck: Workshop "Adaptive and Organic Systems". GI Jahrestagung (2) 2008: 731-732
32no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJohannes Zeppenfeld, Abdelmajid Bouajila, Walter Stechele, Andreas Herkersdorf: Learning Classifier Tables for Autonomic Systems on Chip. GI Jahrestagung (2) 2008: 771-778
31Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Wolfgang Haberl, Andreas Herkersdorf, Martin Wechs: A Simulation Approach for Performance Validation during Embedded Systems Design. ISoLA 2008: 385-399
30Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLZhonglei Wang, Antonio Sanchez, Andreas Herkersdorf: SciSim: a software performance estimation framework using source code instrumentation. WOSP 2008: 33-42
29Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Ohlendorf, Michael Meitinger, Thomas Wild, Andreas Herkersdorf: A Processing Path Dispatcher in Network Processor MPSoCs. IEEE Trans. VLSI Syst. 16(10): 1335-1345 (2008)
2007
28Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMichael Meitinger, Rainer Ohlendorf, Thomas Wild, Andreas Herkersdorf: A Programmable Stream Processing Engine for Packet Manipulation in Network Processors. ISVLSI 2007: 259-264
27Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Simulated and measured performance evaluation of RISC-based SoC platforms in network processing applications. Journal of Systems Architecture 53(10): 703-718 (2007)
26Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristopher Claus, Walter Stechele, Andreas Herkersdorf: Autovision - A Run-time Reconfigurable MPSoC Architecture for Future Driver Assistance Systems (Autovision - Eine zur Laufzeit rekonfigurierbare MPSoC Architektur für zukünftige Fahrerassistenzsysteme). it - Information Technology 49(3): 181- (2007)
2006
25Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAbdelmajid Bouajila, Andreas Bernauer, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele: Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs. BICC 2006: 107-113
24Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLThomas Wild, Andreas Herkersdorf, Rainer Ohlendorf: Performance evaluation for system-on-chip architectures using trace-based transaction level simulation. DATE 2006: 248-253
23Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, Walter Stechele: AutoVision: flexible processor architecture for video-assisted driving. DATE 2006: 556
22Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, Christopher Claus, Michael Meitinger, Rainer Ohlendorf, Thomas Wild: Reconfigurable Processing Units vs. Reconfigurable Interconnects. Dynamically Reconfigurable Architectures 2006
21no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel, Abdelmajid Bouajila, Walter Stechele, Andreas Herkersdorf: An Architecture for Runtime Evaluation of SoC Reliability. GI Jahrestagung (1) 2006: 177-
20Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Ohlendorf, Thomas Wild, Michael Meitinger, Holm Rauchfuss, Andreas Herkersdorf: Performance Evaluation of RISC-based SoC Platforms in Network Processing Applications. ICSAMOS 2006: 152-159
19Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAbdelmajid Bouajila, Johannes Zeppenfeld, Walter Stechele, Andreas Herkersdorf, Andreas Bernauer, Oliver Bringmann, Wolfgang Rosenstiel: Organic Computing at the System on Chip Level. VLSI-SoC 2006: 338-341
2005
18no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGabriel Mihai Lipsa, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele: Towards a Framework and a Design Methodology for Autonomous SoC. ARCS Workshops 2005: 101-108
17no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFaisal Suleman, Dirk Eilers, Helmut Steckenbiller, Andreas Herkersdorf: Adaptable DSP Functions for Dynamically Reconfigurable Communication Systems. ARCS Workshops 2005: 19-26
16Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRainer Ohlendorf, Andreas Herkersdorf, Thomas Wild: FlexPath NP: a network processor concept with application-driven flexible processing paths. CODES+ISSS 2005: 279-284
15Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPaul Zuber, Armin Windschiegl, Raúl Medina Beltán de Otálora, Walter Stechele, Andreas Herkersdorf: Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization. DATE 2005: 986-987
14Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGabriel Mihai Lipsa, Andreas Herkersdorf, Wolfgang Rosenstiel, Oliver Bringmann, Walter Stechele: Towards a Framework and a Design Methodology for Autonomic SoC. ICAC 2005: 391-392
13Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid E. Taylor, Andreas Herkersdorf, Andreas C. Döring, Gero Dittmann: Robust header compression (ROHC) in next-generation network processors. IEEE/ACM Trans. Netw. 13(4): 755-768 (2005)
2004
12no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWalter Stechele, Stephan Herrmann, Andreas Herkersdorf: Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing. ARCS Workshops 2004: 225-234
11no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLCarsten Albrecht, Rainer Hagenau, Erik Maehle, Andreas C. Döring, Andreas Herkersdorf: A Comparison of Parallel Programming Models of Network Processors. ARCS Workshops 2004: 390-399
10Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDirk Eilers, Helmut Steckenbiller, Andreas Herkersdorf: Buffer Schemes for Runtime Reconfiguration of Function Variants in Communication Systems. FCCM 2004: 312-315
9no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, Wolfgang Rosenstiel: Towards a Framework and a Design Methodology for Autonomic Integrated Systems. GI Jahrestagung (2) 2004: 610-615
2003
8no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMaria Gabrani, Gero Dittmann, Andreas C. Döring, Andreas Herkersdorf, Patricia Sagmeister, Jan van Lunteren: Design methodology for a modular service-driven network processor architecture. Computer Networks 41(5): 623-640 (2003)
7no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSamarjit Chakraborty, Simon Künzli, Lothar Thiele, Andreas Herkersdorf, Patricia Sagmeister: Performance evaluation of network processor architectures: combining simulation with analytical estimation. Computer Networks 41(5): 641-665 (2003)
6Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJames R. Allen Jr., Brian M. Bass, Claude Basso, Richard H. Boivie, Jean Calvignac, Gordon T. Davis, Laurent Freléchoux, Marco Heddes, Andreas Herkersdorf, Andreas Kind, Joe F. Logan, Mohammad Peyravian, Mark A. Rinaldi, Ravi K. Sabhikhi, Michael S. Siegel, Marcel Waldvogel: IBM PowerNP network processor: Hardware, software, and applications. IBM Journal of Research and Development 47(2-3): 177-194 (2003)
2002
5Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJohn A. Darringer, Reinaldo A. Bergamaschi, Subhrajit Bhattacharya, Daniel Brand, Andreas Herkersdorf, Joseph K. Morrell, Indira Nair, Patricia Sagmeister, Youngsoo Shin: Early analysis tools for system-on-a-chip design. IBM Journal of Research and Development 46(6): 691-708 (2002)
2000
4Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRolf Clauberg, Peter Buchmann, Andreas Herkersdorf, David J. Webb: Design Methodology for a Large Communication Chip. IEEE Design & Test of Computers 17(3): 86-94 (2000)
1995
3no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, L. Heusler, Erik Maehle: Route Discovery for Multistage Fabrics in ATM Switching Nodes. Perform. Eval. 22(3): 221-238 (1995)
1993
2no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndreas Herkersdorf, L. Heusler, Erik Maehle: Route Discovery in Multistage Switch Fabrics. Data Communication Networks and their Performance 1993: 103-118
1no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWillibald A. Doeringer, Douglas Dykeman, Antonius P. J. Engbersen, Roch Guérin, Andreas Herkersdorf, L. Heusler: Fast Connection Establishment in Large-Scale Networks. INFOCOM 1993: 489-496

Coauthor Index

1Carsten Albrecht [11] [34]
2James R. Allen Jr. [6]
3Josef Angermeier [35]
4Brian M. Bass [6]
5Claude Basso [6]
6Jürgen Becker [35] [37]
7Reinaldo A. Bergamaschi [5]
8Andreas Bernauer [19] [21] [25]
9Subhrajit Bhattacharya [5]
10Richard H. Boivie [6]
11Abdelmajid Bouajila [19] [21] [25] [32]
12Daniel Brand [5]
13Lars Braun [35]
14Oliver Bringmann [14] [18] [19] [21] [25]
15Peter Buchmann [4]
16Jean Calvignac [6]
17Samarjit Chakraborty [7]
18Rolf Clauberg [4]
19Christopher Claus [22] [26] [35]
20John A. Darringer [5]
21Gordon T. Davis [6]
22Gero Dittmann [8] [13]
23Willibald A. Doeringer [1]
24Andreas C. Döring [8] [11] [13]
25Douglas Dykeman [1]
26Dirk Eilers [10] [17]
27Antonius P. J. Engbersen [1]
28Robert Esser [37]
29Laurent Freléchoux [6]
30Maria Gabrani [8]
31Philipp Graf [35]
32Roch Guérin [1]
33Wolfgang Haberl [31] [43]
34Rainer Hagenau [11]
35Marco Heddes [6]
36Stephan Herrmann [12]
37L. Heusler [1] [2] [3]
38Michael Hübner [35] [37]
39Kimon Karras [38]
40Andreas Kind [6]
41Roman Koch [34]
42Simon Künzli [7]
43Andreas Lankes [41]
44Vera Lauer [37]
45Gabriel Mihai Lipsa [14] [18]
46Daniel Llorente [38]
47Joe F. Logan [6]
48Enno Lübbers [35]
49Jan van Lunteren [8]
50Erik Maehle [2] [3] [11] [34]
51Mateusz Majer [35]
52Michael Meitinger [20] [22] [27] [28] [29] [34] [39] [42] [45]
53Stefano Merenda [36]
54Renate Merker [35]
55Joseph K. Morrell [5]
56Christian Müller-Schloer [33]
57Indira Nair [5]
58Rainer Ohlendorf [16] [20] [22] [24] [27] [28] [29] [34] [39] [42] [45]
59Raúl Medina Beltán de Otálora [15]
60Mohammad Peyravian [6]
61Thilo Pionteck [34]
62Marco Platzner [35]
63Holm Rauchfuss [20] [27]
64Mark A. Rinaldi [6]
65Wolfgang Rosenstiel [9] [14] [18] [19] [21] [25]
66Markus Rullmann [35]
67Ravi K. Sabhikhi [6]
68Patricia Sagmeister [5] [7] [8]
69Antonio Sanchez [30]
70Hartmut Schmeck [33]
71T. Schwalb [35]
72Youngsoo Shin [5]
73Michael S. Siegel [6]
74Walter Stechele [12] [14] [15] [18] [19] [21] [23] [25] [26] [32] [33] [35] [37]
75Helmut Steckenbiller [10] [17]
76Faisal Suleman [17]
77Michael Tautschnig [36]
78David E. Taylor [13]
79Jürgen Teich [35]
80Lothar Thiele [7]
81Shadi Traboulsi [42]
82Marcel Waldvogel [6]
83Zhonglei Wang [30] [31] [36] [40] [43] [44]
84David J. Webb [4]
85Martin Wechs [31] [43]
86Thomas Wild [16] [20] [22] [24] [27] [28] [29] [34] [38] [39] [41] [45]
87Armin Windschiegl [15]
88Johannes Zeppenfeld [19] [32]
89Paul Zuber [15]

Colors in the list of coauthors

Copyright © Fri Mar 12 12:56:28 2010 by Michael Ley (ley@uni-trier.de)