Mahmut T. Kandemir Home Page Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2010
439Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShekhar Srikantaiah, Mahmut T. Kandemir: SRP: Symbiotic Resource Partitioning of the Memory Hierarchy in CMPs. HiPEAC 2010: 277-291
438Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSai Prashanth Muralidhara, Mahmut T. Kandemir, Padma Raghavan: Intra-application shared cache partitioning for multithreaded applications. PPOPP 2010: 329-330
437Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Total Power Optimization for Combinational Logic Using Genetic Algorithms. Signal Processing Systems 58(2): 145-160 (2010)
2009
436no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristoph M. Kirsch, Mahmut T. Kandemir: Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009 ACM 2009
435Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Yuanrui Zhang, Sai Prashanth Muralidhara, Ozcan Ozturk, Sri Hari Krishna Narayanan: Slicing based code parallelization for minimizing inter-processor communication. CASES 2009: 87-96
434Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRamya Prabhakar, Christina M. Patrick, Mahmut T. Kandemir: MPISec I/O: Providing Data Confidentiality in MPI-I/O. CCGRID 2009: 388-395
433Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRajat Garg, Seung Woo Son, Mahmut T. Kandemir, Padma Raghavan, Ramya Prabhakar: Markov Model Based Disk Power Management for Data Intensive Workloads. CCGRID 2009: 76-83
432Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristina M. Patrick, Rajat Garg, Seung Woo Son, Mahmut T. Kandemir: Improving I/O performance using soft-QoS-based dynamic storage cache partitioning. CLUSTER 2009: 1-10
431Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Ozcan Ozturk, Sai Prashanth Muralidhara: Dynamic thread and data mapping for NoC based CMPs. DAC 2009: 852-857
430Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir: Using dynamic compilation for continuing execution under reduced memory availability. DATE 2009: 1373-1378
429Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Yuanrui Zhang, Ozcan Ozturk: Adaptive prefetching for shared cache based chip multiprocessors. DATE 2009: 773-778
428Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShengyan Hong, Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk: Process variation aware thread mapping for Chip Multiprocessors. DATE 2009: 821-826
427Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYuanrui Zhang, Mahmut T. Kandemir, Nikos Pitsianis, Xiaobai Sun: Exploring parallelization strategies for NUFFT data translation. EMSOFT 2009: 187-196
426Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLManu Shantharam, Padma Raghavan, Mahmut T. Kandemir: Hybrid Techniques for Fast Multicore Simulation. Euro-Par 2009: 122-134
425Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSai Prashanth Muralidhara, Mahmut T. Kandemir: Communication Based Proactive Link Power Management. HiPEAC 2009: 198-215
424Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYang Ding, Mahmut T. Kandemir, Mary Jane Irwin, Padma Raghavan: Adapting Application Mapping to Systematic Within-Die Process Variations on Chip Multiprocessors. HiPEAC 2009: 231-247
423Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAditya Yanamandra, Mary Jane Irwin, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Sri Hari Krishna Narayanan: In-Network Caching for Chip Multiprocessors. HiPEAC 2009: 373-388
422no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir, Yuanrui Zhang, Rajat Garg: Topology-Aware I/O Caching for Shared Storage Systems. ISCA PDCCS 2009: 143-150
421no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRajat Garg, Christina M. Patrick, Mahmut T. Kandemir: Dynamic Storage Cache Partitioning Using Feedback Control Theory. ISCA PDCCS 2009: 157-164
420no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRajat Garg, Ramya Prabhakar, Mahmut T. Kandemir: Power Aware Disk Allocation. ISCA PDCCS 2009: 205-212
419Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk: Optimizing shared cache behavior of chip multiprocessors. MICRO 2009: 505-516
418Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang: SHARP control: controlled shared cache management in chip multiprocessors. MICRO 2009: 517-528
417Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva Chakrabarti: A compiler-directed data prefetching scheme for chip multiprocessors. PPOPP 2009: 209-218
416Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYuanrui Zhang, Mahmut T. Kandemir: A hardware-software codesign strategy for Loop intensive applications. SASP 2009: 107-113
415Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShekhar Srikantaiah, Reetuparna Das, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir: A case for integrated processor-cache partitioning in chip multiprocessors. SC 2009
414Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRamya Prabhakar, Shekhar Srikantaiah, Christina M. Patrick, Mahmut T. Kandemir: Dynamic storage cache allocation in multi-server architectures. SC 2009
413Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPriya Unnikrishnan, Guangyu Chen, Mahmut T. Kandemir, Mustafa Karaköy, Ibrahim Kolcu: Reducing memory requirements of resource-constrained applications. ACM Trans. Embedded Comput. Syst. 8(3): (2009)
412Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Compiler-assisted soft error detection under performance and energy constraints in embedded systems. ACM Trans. Embedded Comput. Syst. 8(4): (2009)
411Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJungsub Kim, Lanping Deng, Prasanth Mangalagiri, Kevin M. Irick, Kanwaldeep Sobti, Mahmut T. Kandemir, Vijaykrishnan Narayanan, Chaitali Chakrabarti, Nikos Pitsianis, Xiaobai Sun: An Automated Framework for Accelerating Numerical Algorithms on Reconfigurable Platforms Using Algorithmic/Architectural Optimization. IEEE Trans. Computers 58(12): 1654-1667 (2009)
410Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMadhu Mutyam, Feng Wang, Krishnan Ramakrishnan, Vijaykrishnan Narayanan, Mahmut T. Kandemir, Yuan Xie, Mary Jane Irwin: Process-Variation-Aware Adaptive Cache Architecture and Management. IEEE Trans. Computers 58(7): 865-877 (2009)
409Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin: Using Data Compression for Increasing Memory System Utilization. IEEE Trans. on CAD of Integrated Circuits and Systems 28(6): 901-914 (2009)
408Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: Adapting application execution in CMPs using helper threads. J. Parallel Distrib. Comput. 69(9): 790-806 (2009)
2008
407Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLShekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin: Adaptive set pinning: managing shared caches in chip multiprocessors. ASPLOS 2008: 135-144
406Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSri Hari Krishna Narayanan, Mahmut T. Kandemir: A Systematic Approach to Automatically Generate Multiple Semantically Equivalent Program Versions. Ada-Europe 2008: 185-198
405Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Seung Woo Son, Mahmut T. Kandemir: Application mapping for chip multiprocessors. DAC 2008: 620-625
404Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy: Improving I/O Performance of Applications through Compiler-Directed Code Restructuring. FAST 2008: 159-174
403Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTaylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Ozcan Ozturk: SPM management using Markov chain based data access prediction. ICCAD 2008: 565-569
402Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTaylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin: Integrated code and data placement in two-dimensional mesh based chip multiprocessors. ICCAD 2008: 583-588
401Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSayaka Akioka, Feihui Li, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin: Ring data location prediction scheme for Non-Uniform Cache Architectures. ICCD 2008: 693-698
400Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAditya Yanamandra, Bryan Cover, Padma Raghavan, Mary Jane Irwin, Mahmut T. Kandemir: Evaluating the role of scratchpad memories in chip multiprocessors for sparse matrix computations. IPDPS 2008: 1-10
399Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYang Ding, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: A helper thread based EDP reduction scheme for adapting application execution in CMPs. IPDPS 2008: 1-14
398Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy: Improving I/O performance through compiler-directed code restructuring and adaptive prefetching. IPDPS 2008: 1-5
397Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPadma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin, Konrad Malkowski: Managing power, performance and reliability trade-offs. IPDPS 2008: 1-5
396Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYang Ding, Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir: Towards energy efficient scaling of scientific codes. IPDPS 2008: 1-8
395Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Sri Hari Krishna Narayanan: A Scratch-Pad Memory Aware Dynamic Loop Scheduling Algorithm. ISQED 2008: 738-743
394Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Sai Prashanth Muralidhara, Ozcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu, Mustafa Karaköy: Profiler and compiler assisted adaptive I/O prefetching for shared storage caches. PACT 2008: 112-121
393Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristina M. Patrick, Seung Woo Son, Mahmut T. Kandemir: Enhancing the performance of MPI-IO applications by overlapping I/O, computation and communication. PPOPP 2008: 277-278
392Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son: A novel migration-based NUCA design for chip multiprocessors. SC 2008: 28
391Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Seung Woo Son, Mahmut T. Kandemir, Mustafa Karaköy: Prefetch throttling and data pinning for improving performance of shared caches. SC 2008: 59
390Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Ozcan Ozturk: Software-directed combined cpu/link voltage scaling fornoc-based cmps. SIGMETRICS 2008: 359-370
389Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFeihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. SIGMETRICS 2008: 449-450
388Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir: ILP-Based energy minimization techniques for banked memories. ACM Trans. Design Autom. Electr. Syst. 13(3): (2008)
387Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Guangyu Chen: Access pattern-based code compression for memory-constrained systems. ACM Trans. Design Autom. Electr. Syst. 13(4): (2008)
386Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuilin Chen, Mahmut T. Kandemir: Compiler-Directed Code Restructuring for Improving Performance of MPSoCs. IEEE Trans. Parallel Distrib. Syst. 19(9): 1201-1214 (2008)
385Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arifur Rahman: Designing a 3-D FPGA: Switch Box Architecture and Thermal Issues. IEEE Trans. VLSI Syst. 16(7): 882-893 (2008)
384Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGary Giger, Mahmut T. Kandemir, John Dzielski: Graphical Mission Specification and Partitioning for Unmanned Underwater Vehicles. JSW 3(7): 42-54 (2008)
383Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIsmail Kadayif, Ayhan Zorlubas, Selcuk Koyuncu, Olcay Kabal, Davut Akcicek, Yucel Sahin, Mahmut T. Kandemir: Capturing and optimizing the interactions between prefetching and cache line turnoff. Microprocessors and Microsystems - Embedded Hardware Design 32(7): 394-404 (2008)
382Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChristina M. Patrick, Seung Woo Son, Mahmut T. Kandemir: Comparative evaluation of overlap strategies with study of I/O overlap in MPI-IO. Operating Systems Review 42(6): 43-49 (2008)
2007
381Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAndrea Marongiu, Luca Benini, Mahmut T. Kandemir: Lightweight barrier-based parallelization support for non-cache-coherent MPSoC platforms. CASES 2007: 145-149
380Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir: Integrated Data Reorganization and Disk Mapping for Reducing Disk Energy Consumption. CCGRID 2007: 557-564
379Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Guilin Chen, Mahmut T. Kandemir, Mustafa Karaköy: Compiler-Directed Variable Latency Aware SPM Management to CopeWith Timing Problems. CGO 2007: 232-243
378Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir: Runtime system support for software-guided disk power management. CLUSTER 2007: 139-148
377Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHakduran Koc, Mahmut T. Kandemir, Ehat Ercanli, Ozcan Ozturk: Reducing Off-Chip Memory Access Costs Using Data Recomputation in Embedded Chip Multi-processors. DAC 2007: 224-229
376Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLiping Xue, Ozcan Ozturk, Mahmut T. Kandemir: A Memory-Conscious Code Parallelization Scheme. DAC 2007: 230-233
375Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSri Hari Krishna Narayanan, Mahmut T. Kandemir, Richard R. Brooks: Performance aware secure code partitioning. DATE 2007: 1122-1127
374Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Taylan Yemliha, Seung Woo Son, Ozcan Ozturk: Memory bank aware dynamic loop scheduling. DATE 2007: 1671-1676
373Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJungsub Kim, Prasanth Mangalagiri, Kevin M. Irick, Mahmut T. Kandemir, Vijay Narayanan, Kanwaldeep Sobti, Lanping Deng, Chaitali Chakrabarti, Nikos Pitsianis, Xiaobai Sun: TANOR: A Tool for Accelerating N-Body Simulations on Reconfigurable Platforms. FPL 2007: 68-73
372Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir: Data locality enhancement for CMPs. ICCAD 2007: 155-159
371Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWei-keng Liao, Avery Ching, Kenin Coloma, Alok N. Choudhary, Mahmut T. Kandemir: Improving MPI Independent Write Performance Using A Two-Stage Write-Behind Buffering Method. IPDPS 2007: 1-6
370Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy: Improving disk reuse for reducing power consumption. ISLPED 2007: 129-134
369Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKonrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin: Phase-aware adaptive hardware selection for power-efficient scientific computations. ISLPED 2007: 403-406
368Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Seung Woo Son: An ilp based approach to reducing energy consumption in nocbased CMPS. ISLPED 2007: 411-414
367Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Compiler-directed application mapping for NoC based chip multiprocessors. LCTES 2007: 155-157
366Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. PACT 2007: 163-174
365Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSayaka Akioka, Feihui Li, Mahmut T. Kandemir, Padma Raghavan, Mary Jane Irwin: Ring Prediction for Non-Uniform Cache Architectures. PACT 2007: 401
364Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFeihui Li, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Profile-driven energy reduction in network-on-chips. PLDI 2007: 394-404
363Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIsmail Kadayif, Mahmut T. Kandemir: Modeling and improving data cache reliability. SIGMETRICS 2007: 1-12
362Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKanwaldeep Sobti, Lanping Deng, Chaitali Chakrabarti, Nikos Pitsianis, Xiaobai Sun, Jungsub Kim, Prasanth Mangalagiri, Kevin M. Irick, Mahmut T. Kandemir, Vijay Narayanan: Efficient Function Evaluations with Lookup Tables for Structured Matrix Operations. SiPS 2007: 463-468
361Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTaylan Yemliha, Guangyu Chen, Ozcan Ozturk, Mahmut T. Kandemir, Vijay Degalahal: Compiler-Directed Code Restructuring for Operating with Compressed Arrays. VLSI Design 2007: 221-226
360Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Ozcan Ozturk, Vijay Degalahal: Enhancing Locality in Two-Dimensional Space through Integrated Computation and Data Mappings. VLSI Design 2007: 227-232
359Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLiping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk, Rajaraman Ramanarayanan, Balaji Vaidyanathan: Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. VLSI Design 2007: 251-258
358Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFeihui Li, Guilin Chen, Mahmut T. Kandemir, Ozcan Ozturk, Mustafa Karaköy, Rajaraman Ramanarayanan, Balaji Vaidyanathan: A Process Scheduler-Based Approach to NoC Power Management. VLSI Design 2007: 77-82
357Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Guilin Chen: Locality-Aware Process Scheduling for Embedded MPSoCs CoRR abs/0710.4652: (2007)
356Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWei-Lun Hung, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Thermal-Aware Task Allocation and Scheduling for Embedded Systems CoRR abs/0710.4660: (2007)
355Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSuleyman Tosun, Nazanin Mansouri, Ercument Arvas, Mahmut T. Kandemir, Yuan Xie: Reliability-Centric High-Level Synthesis CoRR abs/0710.4684: (2007)
354Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Hendra Saputra, Mahmut T. Kandemir, Ibrahim Kolcu: Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems CoRR abs/0710.4799: (2007)
353Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLG. Chen, Mahmut T. Kandemir, Mustafa Karaköy: A Constraint Network Based Approach to Memory Layout Optimization CoRR abs/0710.4807: (2007)
352Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHaluk Rahmi Topcuoglu, Betül Demiröz, Mahmut T. Kandemir: Solving the Register Allocation Problem for Embedded Systems Using a Hybrid Evolutionary Algorithm. IEEE Trans. Evolutionary Computation 11(5): 620-634 (2007)
351Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Guangyu Chen, Ozcan Ozturk, Mahmut T. Kandemir, Alok N. Choudhary: Compiler-Directed Energy Optimization for Parallel Disk Based Systems. IEEE Trans. Parallel Distrib. Syst. 18(9): 1241-1257 (2007)
350Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIsmail Kadayif, Partho Nath, Mahmut T. Kandemir, Anand Sivasubramaniam: Reducing Data TLB Power via Compiler-Directed Address Generation. IEEE Trans. on CAD of Integrated Circuits and Systems 26(2): 312-324 (2007)
349Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRichard R. Brooks, P. Y. Govindaraju, Matthew Pirretti, Narayanan Vijaykrishnan, Mahmut T. Kandemir: On the Detection of Clones in Sensor Networks Using Random Key Predistribution. IEEE Transactions on Systems, Man, and Cybernetics, Part C 37(6): 1246-1258 (2007)
348Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAman Gayasen, Suresh Srinivasan, Narayanan Vijaykrishnan, Mahmut T. Kandemir: Design of power-aware FPGA fabrics. IJES 3(1/2): 52-64 (2007)
347Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuilin Chen, Mahmut T. Kandemir: An Approach for Enhancing Inter-processor Data Locality on Chip Multiprocessors. T. HiPEAC 1: 214-233 (2007)
346Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir: A Prefetching Algorithm for Multi-speed Disks. T. HiPEAC 1: 317-340 (2007)
345Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan: Reducing energy consumption of parallel sparse matrix applications through integrated link/CPU voltage scaling. The Journal of Supercomputing 41(3): 179-213 (2007)
344Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYuan Xie, Lin Li, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Reliability-aware Co-synthesis for Embedded Systems. VLSI Signal Processing 49(1): 87-99 (2007)
2006
343Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Suleyman Tosun: An ILP based approach to address code generation for digital signal processors. ACM Great Lakes Symposium on VLSI 2006: 37-42
342Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Seung Woo Son, Mustafa Karaköy: Selective code/data migration for reducing communication energy in embedded MpSoC architectures. ACM Great Lakes Symposium on VLSI 2006: 386-391
341Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Guangyu Chen, Mahmut T. Kandemir, Feihui Li: Energy savings through embedded processing on disk system. ASP-DAC 2006: 128-133
340Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuilin Chen, Mahmut T. Kandemir, Feihui Li: Energy-aware computation duplication for improving reliability in embedded chip multiprocessors. ASP-DAC 2006: 134-139
339Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuilin Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin: Object duplication for improving reliability. ASP-DAC 2006: 140-145
338Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIsmail Kadayif, Mahmut T. Kandemir, Feihui Li: Prefetching-aware cache line turnoff for saving leakage energy. ASP-DAC 2006: 182-187
337Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Feng Wang, Mahmut T. Kandemir, Yuan Xie: Optimal topology exploration for application-specific 3D architectures. ASP-DAC 2006: 390-395
336Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Guangyu Chen, Feihui Li: Maximizing data reuse for minimizing memory space requirements and execution cycles. ASP-DAC 2006: 808-813
335Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Guangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Compiler-Guided data compression for reducing memory consumption of embedded applications. ASP-DAC 2006: 814-819
334Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPriya Unnikrishnan, Mahmut T. Kandemir, Feihui Li: Reducing dynamic compilation overhead by overlapping compilation and execution. ASP-DAC 2006: 929-934
333Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSri Hari Krishna Narayanan, Mahmut T. Kandemir, Richard R. Brooks, Ibrahim Kolcu: Secure Execution of Computations in Untrusted Hosts. Ada-Europe 2006: 106-118
332no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSuleyman Tosun, Mahmut T. Kandemir, Hakduran Koc: Using Task Recomputation During Application Mapping in Parallel Embedded Architectures. CDES 2006: 29-35
331Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Guangyu Chen, Mahmut T. Kandemir: A Compiler-Guided Approach for Reducing Disk Power Consumption by Exploiting Disk Access Locality. CGO 2006: 256-268
330Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Mahmut T. Kandemir: Energy-aware data prefetching for multi-speed disks. Conf. Computing Frontiers 2006: 105-114
329Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Guangyu Chen, Mahmut T. Kandemir: Multi-compilation: capturing interactions among concurrently-executing applications. Conf. Computing Frontiers 2006: 157-170
328Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Guilin Chen, Mahmut T. Kandemir: Optimizing code parallelization through a constraint network based approach. DAC 2006: 863-688
327Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLiping Xue, Ozcan Ozturk, Feihui Li, Mahmut T. Kandemir, Ibrahim Kolcu: Dynamic partitioning of processing and memory resources in embedded MPSoC architectures. DATE 2006: 690-695
326Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Guangyu Chen, Feihui Li, Mary Jane Irwin, Ibrahim Kolcu: Activity clustering for leakage management in SPMs. DATE 2006: 696-697
325Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuilin Chen, Ozcan Ozturk, Mahmut T. Kandemir, Mustafa Karaköy: Dynamic scratch-pad memory management for irregular array access patterns. DATE 2006: 931-936
324Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Memory-Conscious Reliable Execution on Embedded Chip Multiprocessors. DSN 2006: 13-22
323Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAman Gayasen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Arif Rahman: Switch Box Architectures for Three-Dimensional FPGAs. FCCM 2006: 335-336
322Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy: Cache miss clustering for banked memory systems. ICCAD 2006: 244-250
321Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Mary Jane Irwin, Suleyman Tosun: Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors. ICPADS (1) 2006: 383-390
320Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLLiping Xue, Mahmut T. Kandemir, Guangyu Chen, Taylan Yemliha: SPM Conscious Loop Scheduling for Embedded Chip Multiprocessors. ICPADS (1) 2006: 391-400
319Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChun Liu, Anand Sivasubramaniam, Mahmut T. Kandemir, Mary Jane Irwin: Enhancing L2 organization for CMPs with a center cell. IPDPS 2006
318Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSeung Woo Son, Konrad Malkowski, Guilin Chen, Mahmut T. Kandemir, Padma Raghavan: Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse matrix applications. IPDPS 2006
317Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFeihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie, Narayanan Vijaykrishnan, Mahmut T. Kandemir: Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. ISCA 2006: 130-141
316Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSuleyman Tosun, Nazanin Mansouri, Mahmut T. Kandemir, Ozcan Ozturk: An ILP Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors. ISCIS 2006: 267-276
315Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMahmut T. Kandemir, Seung Woo Son: Reducing power through compiler-directed barrier synchronization elimination. ISLPED 2006: 354-357
314Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHakduran Koc, Ozcan Ozturk, Mahmut T. Kandemir, Sri Hari Krishna Narayanan, Ehat Ercanli: Minimizing energy consumption of banked memories using data recomputation. ISLPED 2006: 358-362
313Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir: Data Replication in Banked DRAMs for Reducing Energy Consumption. ISQED 2006: 551-556
312Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSri Hari Krishna Narayanan, Mahmut T. Kandemir, Ozcan Ozturk: Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs. ISQED 2006: 570-575
311Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, Mahmut T. Kandemir, Ibrahim Kolcu: Shared Scratch-Pad Memory Space Management. ISQED 2006: 576-584
310Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLFeihui Li, Mahmut T. Kandemir, Ibrahim Kolcu: Exploiting Software Pipelining for Network-on-Chip architectures. ISVLSI 2006: 295-302
309Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Ozcan Ozturk, Guilin Chen, Mahmut T. Kandemir, Ibrahim Kolcu: Leakage-Aware SPM Management. ISVLSI 2006: 393-398
308Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLHakduran Koc, Suleyman Tosun, Ozcan Ozturk, Mahmut T. Kandemir: Reducing Memory Requirements through Task Recomputation in Embedded Multi-CPU Systems. ISVLSI 2006: 448-449
307Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk, I. Demirkiran: Compiler-Directed Management of Leakage Power in Software-Managed Memories. ISVLSI 2006: 450-451
306Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLOzcan Ozturk, G. Chen, Mahmut T. Kandemir, Mustafa Karaköy: An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors. ISVLSI 2006: 50-58
305Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMadhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin: Compiler-directed thermal management for VLIW functional units. LCTES 2006: 163-172
304Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin: Reducing NoC energy consumption through compiler-directed channel voltage scaling. PLDI 2006: 193-203
303Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLGuangyu Chen, Feihui Li, Mahmut T. Kandemir: Compil