Subhasish Mitra Coauthor index DBLP Vis pubzone.org

List of publications from the DBLP Bibliography Server - FAQ
Ask others: ACM DL/Guide - CiteSeerX - CSB - MetaPress - Google - Bing - Yahoo

DBLP keys2010
88Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChen Chen, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra: Efficient FPGAs using nanoelectromechanical relays. FPGA 2010: 273-282
87Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSung-Boem Park, Subhasish Mitra: Post-silicon bug localization for processors using IFRA. Commun. CACM 53(2): 106-113 (2010)
2009
86Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNishant Patil, Albert Lin, Jie Zhang, H.-S. Philip Wong, Subhasish Mitra: Digital VLSI logic technology using Carbon Nanotube FETs: frequently asked questions. DAC 2009: 304-309
85Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJie Zhang, Nishant Patil, Arash Hazeghi, Subhasish Mitra: Carbon nanotube circuits in the presence of carbon nanotube density variations. DAC 2009: 71-76
84Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Jie Zhang, Nishant Patil, Hai Wei: Imperfection-immune VLSI logic circuits using Carbon Nanotube Field Effect Transistors. DATE 2009: 436-441
83Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYanjing Li, Young Moon Kim, Evelyn Mintarno, Donald S. Gardner, Subhasish Mitra: Overcoming Early-Life Failure and Aging for Robust Systems. IEEE Design & Test of Computers 26(6): 28-39 (2009)
82Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSung-Boem Park, T. Hong, Subhasish Mitra: Post-Silicon Bug Localization in Processors Using Instruction Footprint Recording and Analysis (IFRA). IEEE Trans. on CAD of Integrated Circuits and Systems 28(10): 1545-1558 (2009)
81Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJie Zhang, N. P. Patil, Subhasish Mitra: Probabilistic Analysis and Design of Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 28(9): 1307-1320 (2009)
80Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJohn K. Ousterhout, Parag Agrawal, David Erickson, Christos Kozyrakis, Jacob Leverich, David Mazières, Subhasish Mitra, Aravind Narayanan, Guru M. Parulkar, Mendel Rosenblum, Stephen M. Rumble, Eric Stratmann, Ryan Stutsman: The case for RAMClouds: scalable high-performance storage entirely in DRAM. Operating Systems Review 43(4): 92-105 (2009)
2008
79Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSung-Boem Park, Subhasish Mitra: IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. DAC 2008: 373-378
78Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLJie Zhang, Nishant Patil, Subhasish Mitra: Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits. DATE 2008: 1009-1014
77Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNeeraj Suri, Christof Fetzer, Jacob Abraham, Stefan Poledna, Avi Mendelson, Subhasish Mitra: Dependable Embedded Systems Special Day Panel: Issues and Challenges in Dependable Embedded Systems. DATE 2008: 1394-1395
76Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLYanjing Li, Samy Makar, Subhasish Mitra: CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns. DATE 2008: 885-890
75Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra: Globally Optimized Robust Systems to Overcome Scaled CMOS Reliability Challenges. DATE 2008: 941-946
74Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDimitris Gizopoulos, Kaushik Roy, Subhasish Mitra, Pia Sanda: Soft Errors: System Effects, Protection Techniques and Case Studies. DATE 2008
73Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Ravishankar K. Iyer, Kishor S. Trivedi, James W. Tschanz: Reliable system design: models, metrics and design techniques. ICCAD 2008: 3
72Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLIgor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu Fujita, Luca Benini: A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. ICCAD 2008: 598-602
71Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra: Soft Error Protection Techniques. IOLTS 2008: 45
70Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra: Tutorial 4: Robust System Design in Scaled CMOS. ISQED 2008: 6
69Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLTze Wee Chen, Kyunglok Kim, Young Moon Kim, Subhasish Mitra: Gate-Oxide Early Life Failure Prediction. VTS 2008: 111-118
68Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRohit Kapur, Subhasish Mitra, Thomas W. Williams: Historical Perspective on Scan Compression. IEEE Design & Test of Computers 25(2): 114-120 (2008)
67Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNaresh R. Shanbhag, Subhasish Mitra, Gustavo de Veciana, Michael Orshansky, Radu Marculescu, Jaijeet Roychowdhury, Douglas L. Jones, Jan M. Rabaey: The Search for Alternative Computational Paradigms. IEEE Design & Test of Computers 25(4): 334-343 (2008)
66Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNishant Patil, Jie Deng, Albert Lin, H.-S. Philip Wong, Subhasish Mitra: Design Methods for Misaligned and Mispositioned Carbon-Nanotube Immune Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1725-1736 (2008)
2007
65Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNishant Patil, Jie Deng, H.-S. Philip Wong, Subhasish Mitra: Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits. DAC 2007: 958-961
64Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSanjit A. Seshia, Wenchao Li, Subhasish Mitra: Verification-guided soft error resilience. DATE 2007: 1442-1447
63Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra: Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout. IOLTS 2007: 123
62Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Pia Sanda, Norbert Seifert: Soft Errors: Technology Trends, System Effects, and Protection Techniques. IOLTS 2007: 4
61Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMridul Agarwal, Bipul C. Paul, Ming Zhang, Subhasish Mitra: Circuit Failure Prediction and Its Application to Transistor Aging. VTS 2007: 277-286
60Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Application-Dependent Delay Testing of FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 553-563 (2007)
2006
59Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLT. M. Mak, Subhasish Mitra: Should Logic SER be Solved at the Circuit Level? IOLTS 2006: 199
58Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLBob Mungamuru, Hector Garcia-Molina, Subhasish Mitra: How To Safeguard Your Sensitive Data. SRDS 2006: 199-211
57Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Ming Zhang, Norbert Seifert, T. M. Mak, Kee Sup Kim: Soft Error Resilient System Design through Error Correction. VLSI-SoC 2006: 332-337
56Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRuifeng Guo, Subhasish Mitra, Enamul Amyeen, Jinkyu Lee, Srihari Sivaraj, Srikanth Venkataraman: Evaluation of Test Metrics: Stuck-at, Bridge Coverage Estimate and Gate Exhaustive. VTS 2006: 66-71
55Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Kee Sup Kim: XPAND: An Efficient Test Stimulus Compression Technique. IEEE Trans. Computers 55(2): 163-173 (2006)
54Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMing Zhang, Subhasish Mitra, T. M. Mak, Norbert Seifert, N. J. Wang, Quan Shi, Kee Sup Kim, Naresh R. Shanbhag, S. J. Patel: Sequential Element Design With Built-In Soft Error Resilience. IEEE Trans. VLSI Syst. 14(12): 1368-1378 (2006)
2005
53Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLErik H. Volkerink, Subhasish Mitra: Response compaction with any number of unknowns using a new LFSR architecture. DAC 2005: 117-122
52Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Tanay Karnik, Norbert Seifert, Ming Zhang: Logic soft errors in sub-65nm technologies design and CAD challenges. DAC 2005: 2-4
51Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLT. M. Mak, Subhasish Mitra, Ming Zhang: DFT Assisted Built-In Soft Error Resilience. IOLTS 2005: 69
50Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLR. D. (Shawn) Blanton, Subhasish Mitra: Testing Nanometer Digital Integration Circuits: Myths, Reality and the Road Ahead. VLSI Design 2005: 8-9
49Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Norbert Seifert, Ming Zhang, Quan Shi, Kee Sup Kim: Subhasish Mitra, Norbert Seifert, Ming Zhang, Quan Shi, Kee Sup Kim. IEEE Computer 38(2): 43-52 (2005)
48Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Steven S. Lumetta, Michael Mitzenmacher, Nishant Patil: X-Tolerant Test Response Compaction. IEEE Design & Test of Computers 22(6): 566-574 (2005)
47Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLRavishankar K. Iyer, Nithin Nakka, Zbigniew Kalbarczyk, Subhasish Mitra: Recent Advances and New Avenues in Hardware-Level Reliability Support. IEEE Micro 25(6): 18-29 (2005)
46Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Application-independent testing of FPGA interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 24(11): 1774-1783 (2005)
45Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAhmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey: Optimized reseeding by seed ordering and encoding. IEEE Trans. on CAD of Integrated Circuits and Systems 24(2): 264-270 (2005)
2004
44Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Defect and Fault Tolerance of Reconfigurable Molecular Computing. FCCM 2004: 176-185
43Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKenneth A. Brand, Erik H. Volkerink, Edward J. McCluskey, Subhasish Mitra: Speed Clustering of Integrated Circuits. ITC 2004: 1128-1137
42Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Steven S. Lumetta, Michael Mitzenmacher: X-Tolerant Signature Analysis. ITC 2004: 432-441
41Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Interconnect Delay Testing of Designs on Programmable Logic Devices. ITC 2004: 635-644
40Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEdward J. McCluskey, Ahmad A. Al-Yamani, Chien-Mo James Li, Chao-Wen Tseng, Erik H. Volkerink, François-Fabien Ferhani, Edward Li, Subhasish Mitra: ELF-Murphy Data on Defects and Test Sets. VTS 2004: 16-22
39Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Erik H. Volkerink, Edward J. McCluskey, Stefan Eichenberger: Delay Defect Screening using Process Monitor Structures. VTS 2004: 43-52
38Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Wei-Je Huang, Nirmal R. Saxena, Shu-Yi Yu, Edward J. McCluskey: Reconfigurable Architecture for Autonomous Self-Repair. IEEE Design & Test of Computers 21(3): 228-240 (2004)
37Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLVladimir Hahanov, Raimund Ubar, Subhasish Mitra: Conference Reports. IEEE Design & Test of Computers 21(6): 594-595 (2004)
36Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey: Efficient Design Diversity Estimation for Combinational Circuits. IEEE Trans. Computers 53(11): 1483-1492 (2004)
35Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Techniques and algorithms for fault grading of FPGA interconnect test configurations. IEEE Trans. on CAD of Integrated Circuits and Systems 23(2): 261-272 (2004)
34Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Kee Sup Kim: X-compact: an efficient response compaction technique. IEEE Trans. on CAD of Integrated Circuits and Systems 23(3): 421-432 (2004)
2003
33Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Kee Sup Kim: XMAX: X-Tolerant Architecture for MAXimal Test Compression. ICCD 2003: 326-330
32Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLDavid M. Wu, Mike Lin, Subhasish Mitra, Kee Sup Kim, Anil Sabbavarapu, Talal Jaber, Pete Johnson, Dale March, Greg Parrish: H-DFT: A Hybrid DFT Architecture For Low-Cost High Quality Structural Testing. ITC 2003: 1229-1238
31Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra: Automatic Configuration Generation for FPGA Interconnect Testing. VTS 2003: 134-144
30Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLErik H. Volkerink, Subhasish Mitra: Efficient Seed Utilization for Reseeding based Compression. VTS 2003: 232-240
29Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAhmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey: Bist Reseeding with very few Seeds. VTS 2003: 69-76
28Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLKee Sup Kim, Subhasish Mitra, Paul G. Ryan: Delay Defect Characteristics and Testing Strategies. IEEE Design & Test of Computers 20(5): 8-16 (2003)
2002
27Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAhmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey: Testing Digital Circuits with Constraints. DFT 2002: 195-206
26Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Dependable Reconfigurable Computing Design Diversity and Self Repair. Evolvable Hardware 2002: 5
25Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLErik H. Volkerink, Ajay Khoche, Subhasish Mitra: Packet-Based Input Test Data Compression Techniques. ITC 2002: 154-163
24Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Kee Sup Kim: X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction. ITC 2002: 311-320
23Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLMehdi Baradaran Tahoori, Subhasish Mitra, Shahin Toutounchi, Edward J. McCluskey: Fault Grading FPGA Interconnect Test Configurations. ITC 2002: 608-617
22Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey, Samy Makar: Design for Testability and Testing of IEEE 1149.1 Tap Controller. VTS 2002: 247-252
21Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLEdward J. McCluskey, Subhasish Mitra, Bob Madge, Peter C. Maxwell, Phil Nigh, Mike Rodgers: Debating the Future of Burn-In. VTS 2002: 311-314
20Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLAjay Khoche, Erik H. Volkerink, Jochen Rivoir, Subhasish Mitra: Test Vector Compression Using EDA-ATE Synergies. VTS 2002: 97-102
19Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNahmsuk Oh, Subhasish Mitra, Edward J. McCluskey: ED4I: Error Detection by Diverse Data and Duplicated Instructions. IEEE Trans. Computers 51(2): 180-199 (2002)
18Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey: A Design Diversity Metric and Analysis of Redundant Systems. IEEE Trans. Computers 51(5): 498-510 (2002)
2001
17Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLWei-Je Huang, Subhasish Mitra, Edward J. McCluskey: Fast Run-Time Fault Location in Dependable FPGA-Based Applications. DFT 2001: 206-214
16Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey: Techniques for Estimation of Design Diversity for Combinational Logic Circuits. DSN 2001: 25-36
15Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Diversity Techniques for Concurrent Error Detection. ISQED 2001: 249-250
14Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Design Diversity for Concurrent Error Detection in Sequential Logic Circuts. VTS 2001: 178-183
13Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Design of Redundant Systems Protected Against Common-Mode Failures. VTS 2001: 190-197
12Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLChao-Wen Tseng, Subhasish Mitra, Edward J. McCluskey, Scott Davidson: An Evaluation of Pseudo Random Testing for Detecting Real Defects. VTS 2001: 404-410
2000
11Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLPhilip P. Shirvani, Subhasish Mitra, Jo C. Ebergen, Marly Roncken: DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits. ASYNC 2000: 73-
10no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Combinational logic synthesis for diversity in duplex systems. ITC 2000: 179-188
9no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Which concurrent error detection scheme to choose ? ITC 2000: 985-994
8Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey: Fault Escapes in Duplex Systems. VTS 2000: 453-458
7Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Edward J. McCluskey: Word Voter: A New Voter Design for Triple Modular Redundant Systems. VTS 2000: 465-470
6Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLNirmal R. Saxena, Santiago Fernández-Gomez, Wei-Je Huang, Subhasish Mitra, Shu-Yi Yu, Edward J. McCluskey: Dependable Computing and Online Testing in Adaptive and Configurable Systems. IEEE Design & Test of Computers 17(1): 29-41 (2000)
5Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, LaNae J. Avra, Edward J. McCluskey: Efficient Multiplexer Synthesis Techniques. IEEE Design & Test of Computers 17(4): 90-97 (2000)
1999
4no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey: A design diversity metric and reliability analysis for redundant systems. ITC 1999: 662-671
3Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, LaNae J. Avra, Edward J. McCluskey: An output encoding problem and a solution technique. IEEE Trans. on CAD of Integrated Circuits and Systems 18(6): 761-768 (1999)
1997
2Electronic Edition pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, LaNae J. Avra, Edward J. McCluskey: An output encoding problem and a solution technique. ICCAD 1997: 304-307
1no EE pubzone.org CiteSeerX Google scholar BibTeX bibliographical record in XMLSubhasish Mitra, LaNae J. Avra, Edward J. McCluskey: Scan Synthesis for One-Hot Signals. ITC 1997: 714-722

Coauthor Index

1Jacob Abraham [77]
2Mridul Agarwal [61]
3Parag Agrawal [80]
4Kerem Akarvardar [88]
5Ahmad A. Al-Yamani [27] [29] [40] [45]
6Enamul Amyeen [56]
7LaNae J. Avra [1] [2] [3] [5]
8Luca Benini [72]
9R. D. (Shawn) Blanton (Ronald D. Blanton) [50]
10Kenneth A. Brand [43]
11Chen Chen [88]
12Tze Wee Chen [69]
13Soogine Chong [88]
14Scott Davidson [12]
15Jie Deng [65] [66]
16Jo C. Ebergen [11]
17Stefan Eichenberger [39]
18David Erickson [80]
19François-Fabien Ferhani [40]
20Santiago Fernández-Gomez [6]
21Christof Fetzer [77]
22Shinobu Fujita [72]
23Hector Garcia-Molina [58]
24Donald S. Gardner [83]
25Dimitris Gizopoulos [74]
26Ruifeng Guo [56]
27Vladimir Hahanov [37]
28Arash Hazeghi [85]
29T. Hong [82]
30Roger T. Howe [88]
31Wei-Je Huang [6] [17] [38]
32Ravishankar K. Iyer (Ravi K. Iyer) [47] [73]
33Talal Jaber [32]
34Pete Johnson [32]
35Douglas L. Jones [67]
36Zbigniew Kalbarczyk [47]
37Rohit Kapur [68]
38Tanay Karnik [52]
39Ajay Khoche [20] [25]
40Kee Sup Kim [24] [28] [32] [33] [34] [49] [54] [55] [57]
41Kyunglok Kim [69]
42Young Moon Kim [69] [83]
43Christoforos E. Kozyrakis (Christos Kozyrakis) [80]
44Jinkyu Lee [56]
45Thomas H. Lee [72]
46Jacob Leverich [80]
47David Lewis [88]
48Chien-Mo James Li (James Chien-Mo Li) [40]
49Edward Li [40]
50Wenchao Li [64]
51Yanjing Li [76] [83]
52Albert Lin [66] [86]
53Mike Lin [32]
54Igor Loi [72]
55Steven S. Lumetta (Steven Lumetta) [42] [48]
56Bob Madge [21]
57T. M. Mak [51] [54] [57] [59]
58Samy Makar [22] [76]
59Dale March [32]
60Radu Marculescu [67]
61Peter C. Maxwell [21]
62David Mazières [80]
63Edward J. McCluskey [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22] [23] [26] [27] [29] [36] [38] [39] [40] [43] [45]
64Avi Mendelson [77]
65Evelyn Mintarno [83]
66Michael Mitzenmacher [42] [48]
67Bobji Mungamuru (Bob Mungamuru) [58]
68Nithin Nakka [47]
69Aravind Narayanan [80]
70Phil Nigh [21]
71Nahmsuk Oh [19]
72Michael Orshansky [67]
73John K. Ousterhout [80]
74Sung-Boem Park [79] [82] [87]
75Greg Parrish [32]
76Roozbeh Parsa [88]
77Guru M. Parulkar [80]
78S. J. Patel [54]
79N. P. Patil [81]
80Nishant Patil [48] [65] [66] [78] [84] [85] [86] [88]
81Bipul Chandra Paul (Bipul C. Paul) [61]
82Stefan Poledna [77]
83J. Provine [88]
84Jan M. Rabaey [67]
85Jochen Rivoir [20]
86Mike Rodgers [21]
87Marly Roncken [11]
88Mendel Rosenblum [80]
89Kaushik Roy [74]
90Jaijeet Roychowdhury [67]
91Stephen M. Rumble [80]
92Paul G. Ryan [28]
93Anil Sabbavarapu [32]
94Pia Sanda [62] [74]
95Nirmal R. Saxena [4] [6] [8] [16] [18] [36] [38]
96Norbert Seifert [49] [52] [54] [57] [62]
97Sanjit A. Seshia [64]
98Naresh R. Shanbhag [54] [67]
99Quan Shi [49] [54]
100Philip P. Shirvani [11]
101Srihari Sivaraj [56]
102Eric Stratmann [80]
103Ryan Stutsman [80]
104Neeraj Suri [77]
105Mehdi Baradaran Tahoori [23] [31] [35] [41] [44] [46] [60]
106Shahin Toutounchi [23]
107Kishor S. Trivedi [73]
108James Tschanz (James W. Tschanz) [73]
109Chao-Wen Tseng [12] [40]
110Raimund Ubar [37]
111Gustavo de Veciana [67]
112Srikanth Venkataraman [56]
113Erik H. Volkerink [20] [25] [30] [39] [40] [43] [53]
114N. J. Wang [54]
115Jeff Watt [88]
116Hai Wei [84]
117Thomas W. Williams [68]
118H.-S. Philip Wong [65] [66] [86] [88]
119David M. Wu [32]
120Shu-Yi Yu [6] [38]
121Jie Zhang [78] [81] [84] [85] [86]
122Ming Zhang [49] [51] [52] [54] [57] [61]

Colors in the list of coauthors

Copyright © Sun Mar 14 22:39:24 2010 by Michael Ley (ley@uni-trier.de)